Publications


Home
Members
Publications
Presentations
Downloads
Funding
Contact Information

Copyright disclaimer: The documents contained in this page are included to ensure timely dissemination of scholarly and technical work on a non-commercial basis. Copyright and all rights therein are maintained by the authors or by other copyright holders, notwithstanding that they have offered their works here electronically. It is understood that all persons copying this information will adhere to the terms and constraints invoked by each author's copyright. These works may not be reposted without the explicit permission of the copyright holder.


Handling Constraints in Multi-objective GA for Embedded System Design. Biman Chakraborty, Ting Chen, Tulika Mitra, Abhik Roychoudhury. IEEE 19th International Conference on VLSI Design (VLSI), January 2006

Satisfying Real-Time Constraints with Custom Instructions. Pan Yu, Tulika Mitra, ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), September 2005 [Presentation]

Configuration Bitstream Compression for Dynamically Reconfigurable FPGAs. Ju Hwa Pan, Tulika Mitra, Weng-Fai Wong, IEEE/ACM International Conference on Computer Aided Design (ICCAD), November 2004 [Presentation]

Scalable Custom Instructions Identification for Instruction-Set Extensible Processors. Pan Yu, Tulika Mitra, ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), September 2004 [Presentation]

Characterizing Embedded Applications for Instruction-Set Extensible Processors. Pan Yu, Tulika Mitra, 41st ACM/IEEE Design Automation Conference (DAC), June 2004 [Presentation]

Design Space Exploration of Caches using Compressed Traces. Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury, 18th Annual ACM International Conference on Supercomputing (ICS), June 2004

A Model for Hardware Realization of Kernel Loops. Jirong Liao, Weng-Fai Wong, Tulika Mitra, 13th International Conference on Field Programmable Logic and Applications (FPL), September 2003

A Co-simulation Study of Adaptive EPIC Computing. Valentin Stefan Gheorghita, Weng-Fai Wong, Tulika Mitra, Surendranath Talla. IEEE International Conference on Field Programmable Technology (FPT), December 2002
 


Home | Members | Publications | Presentations | Downloads | Funding | Contact Information

 Copyright @ 2005 Tulika Mitra
For problems or questions regarding this Web site contact [ProjectEmail].
Last updated: 10/05/05.